Bug with array datatype definition fixed
authorlbessard
Fri, 15 May 2009 10:23:20 +0200
changeset 174 f648065fb66e
parent 173 160cb7c26bae
child 175 dadda1b5401e
Bug with array datatype definition fixed
stage4/generate_c/generate_c_typedecl.cc
--- a/stage4/generate_c/generate_c_typedecl.cc	Fri Mar 20 13:49:48 2009 +0100
+++ b/stage4/generate_c/generate_c_typedecl.cc	Fri May 15 10:23:20 2009 +0200
@@ -357,7 +357,7 @@
   current_basetypedeclaration = none_bd;
   s4o_incl.print(";\n");
   
-  if (search_base_type.type_is_subrange(current_type_name)) {
+  if (search_base_type.type_is_subrange(symbol->identifier)) {
     s4o.print("#define __CHECK_");
     symbol->identifier->accept(*this);
     s4o.print(" __CHECK_");