Sat, 10 Mar 2012 11:21:04 +0000 Mario de Sousa Bringing comment up to date.
Fri, 09 Mar 2012 17:58:19 +0000 Mario de Sousa Semantic verification of NOT operator.
Fri, 09 Mar 2012 10:52:23 +0000 Mario de Sousa Fix bug in building structured variables.
Fri, 09 Mar 2012 10:51:56 +0000 Mario de Sousa Delete unecessary copy_candidate_datatype_list() function.
Thu, 08 Mar 2012 19:14:45 +0000 Mario de Sousa Delete no longer needed copy_candidate_datatype_list() function.
Thu, 08 Mar 2012 18:57:55 +0000 Mario de Sousa Narrow IL lists twice, in order to handle JMP to labels before the JMP itself.
Thu, 08 Mar 2012 18:57:14 +0000 Mario de Sousa Do not allow duplicate values in candidate datatype list.
Sat, 03 Mar 2012 20:42:48 +0000 Mario de Sousa Handle JMP to labels preceding the JMP instruction itself.
Sat, 03 Mar 2012 20:27:20 +0000 Mario de Sousa Correctly handle JMP and RET IL operators in flow control analysis.
Sat, 03 Mar 2012 13:07:00 +0000 Mario de Sousa Fix filling candidate datatypes of JMP operations
Sat, 03 Mar 2012 08:51:28 +0000 Mario de Sousa Remove spurious printf()s, used during debugging session.
Fri, 02 Mar 2012 17:59:20 +0000 Mario de Sousa Add missing files.
Fri, 02 Mar 2012 12:53:41 +0000 Mario de Sousa Continue adding support for semantic verification of JMP and RET.
Thu, 01 Mar 2012 10:28:27 +0000 Conti Manuele iAdd warning for deprecated operations.
Mon, 27 Feb 2012 11:44:03 +0000 Mario de Sousa Change prev_il_instruction to a vector<>
Mon, 27 Feb 2012 11:28:51 +0000 Mario de Sousa Some small cleanups.
Sat, 25 Feb 2012 19:16:35 +0000 Mario de Sousa Add support for semantic verification of CALC, CALCN, etc..
Thu, 23 Feb 2012 13:25:49 +0000 Mario de Sousa Finish support for semantic verification of IL paranthesised expressions.
Tue, 21 Feb 2012 17:39:57 +0000 Mario de Sousa More changes for support of semantic verification of il_expressions
Mon, 20 Feb 2012 19:44:40 +0000 Mario de Sousa Start of support for IL expressions (parenthesis).
Mon, 20 Feb 2012 17:52:01 +0000 Mario de Sousa Cleaning up the code.
Mon, 20 Feb 2012 15:24:26 +0000 Mario de Sousa Fix a few more bugs related to FB/function calls in IL.
Sun, 19 Feb 2012 16:16:45 +0000 Mario de Sousa Semantic verification: Add compatibility check for parameter direction in function invocation.
Sat, 18 Feb 2012 21:03:01 +0000 Mario de Sousa Add support for semantic verification for implict FB calls in IL (e.g. PV ton_var)
Fri, 17 Feb 2012 19:47:58 +0000 Mario de Sousa Start of support for semantic verification of FB calls in IL using special IL instructions.
Fri, 17 Feb 2012 19:47:23 +0000 Mario de Sousa Delete some comments.
Thu, 16 Feb 2012 14:18:23 +0100 Conti Manuele Change name help function.
Thu, 16 Feb 2012 12:59:02 +0000 Mario de Sousa Update comments on general architecture.
Thu, 16 Feb 2012 10:27:52 +0000 Mario de Sousa Narrow IL code backwords, so as to correctly handle invocations to overloaded functions from IL code.
Mon, 13 Feb 2012 17:04:29 +0000 Mario de Sousa Code cleanup.
Mon, 13 Feb 2012 16:29:55 +0000 Mario de Sousa Add printing of semantic errors when calling FB from IL.
Sun, 12 Feb 2012 20:43:49 +0100 Manuele Conti Fix typo error in some il visit in fill_candidate_datatypes_c class.
Mon, 13 Feb 2012 13:45:57 +0000 Mario de Sousa Add semantic verification of FB calls in IL (not yet complete - missing printing of errors!)
Fri, 10 Feb 2012 19:04:31 +0000 Mario de Sousa Add support for semantic verification of function calls in IL.
Wed, 08 Feb 2012 18:33:01 +0000 Mario de Sousa Add TODO comment, and delete unused debugging code.
Wed, 08 Feb 2012 18:27:45 +0000 Mario de Sousa Delete un-used code.
Wed, 08 Feb 2012 18:16:13 +0000 Mario de Sousa Change negation expression (neg_expression_c) to only allow signed data types.
Tue, 07 Feb 2012 17:45:17 +0000 Mario de Sousa Move elementary_c::to_string() to datatype_functions.cc/hh
Tue, 07 Feb 2012 17:40:19 +0000 Mario de Sousa Add, to types symbol table, string datatypes with limited length (my_string_type: STRING[33]) (Thanks Andreas!)
Tue, 07 Feb 2012 12:17:00 +0000 Mario de Sousa Correctly return the initial value of a string datatype, with limited length (STRING[33] := 'hello')
Tue, 07 Feb 2012 11:55:29 +0000 Mario de Sousa More detailed error messages for FB invocations in ST.
Mon, 06 Feb 2012 18:32:11 +0000 Mario de Sousa More detailed error messages in function invocations in ST.
Mon, 06 Feb 2012 14:21:08 +0000 Mario de Sousa Fix bug in analysing real literals (thanks Andreas!)
Mon, 06 Feb 2012 14:15:31 +0000 Mario de Sousa Remove debugging messages, and code cleanup.
Mon, 06 Feb 2012 14:10:22 +0000 Mario de Sousa Better error reporting in function invocations, and in invalid literal values.
Sun, 05 Feb 2012 19:09:12 +0000 Mario de Sousa Better identification of erros in function/FB invocations.
Fri, 03 Feb 2012 18:16:20 +0000 Mario de Sousa Print error messages when datatype erros found in ST function/FB calls.
Fri, 03 Feb 2012 14:43:14 +0000 Mario de Sousa Continue checking data type compatibility inside expressions used to pass paramters to invalid function/FB calls
Fri, 03 Feb 2012 10:54:35 +0000 Mario de Sousa Adding support for semantic verification of FB calls in ST.
Thu, 02 Feb 2012 16:50:12 +0000 Mario de Sousa Delete wrong comment.
Thu, 02 Feb 2012 16:20:19 +0000 Mario de Sousa Add support in stage 3 for checking function invocations in ST.
Thu, 02 Feb 2012 14:18:02 +0000 Mario de Sousa Fix search_varfb_instance_decl to be able to find variables and FB when only given an identifier.
Thu, 02 Feb 2012 10:00:53 +0000 Mario de Sousa Changing code indenting.
Wed, 01 Feb 2012 22:40:54 +0100 Manuele Conti Add fill candidate method for fb_invocation_c symbol.
Wed, 01 Feb 2012 19:49:11 +0000 Mario de Sousa Re-write of stage3 code (done by Manuele and I), re-write of search_varfb_instance_type_c (done by myself), and several other bug fixes.
Wed, 01 Feb 2012 13:01:08 +0000 Mario de Sousa Merging with Laurent's repository.
Tue, 27 Sep 2011 00:07:16 +0200 Manuele Conti Fix YYLTYPE declaration.
Sun, 20 Nov 2011 19:36:48 +0000 Mario de Sousa Merging with Laurent's repository.
Fri, 04 Nov 2011 19:16:29 +0000 Mario de Sousa Add list of things we must check for in stage 3 (TODO list!)
Tue, 14 Aug 2012 19:40:01 +0200 Laurent Bessard Fix bug with InOut variable of structured type
Sat, 28 Jul 2012 17:38:57 +0200 Laurent Bessard Fix bug while using complex type variables in Function and FunctionBlock interface
Fri, 27 Jul 2012 15:43:32 +0200 Laurent Bessard Fix bug while using complex type variables in Function and FunctionBlock interface
Fri, 27 Jul 2012 15:40:44 +0200 Laurent Bessard Fix bug with complex type inout variables while generating variable list
Thu, 22 Mar 2012 00:22:48 +0100 laurent Fixing bug in inlinefcall when a transition is in ST and contains literals
Fri, 24 Feb 2012 18:16:56 +0100 laurent Fix bug in code generated for MUL function
Fri, 24 Feb 2012 14:16:51 +0100 laurent Fix bug with overloaded function due to literal input values
Tue, 21 Feb 2012 22:31:38 +0100 laurent Fix bug wrong code generated when, in a pou, a located variable has the same name than a function block instance input variable
Tue, 21 Feb 2012 22:28:57 +0100 laurent Fix bug pointed structure not declared for directly derived datatypes
Thu, 16 Feb 2012 13:27:04 +0100 Edouard Tisserant removed forgotten prototype in __INIT_EXTERNAL accessor, causing warning ant build time
Tue, 07 Feb 2012 20:20:19 +0100 laurent Merge with Edouard's modifications
Tue, 07 Feb 2012 20:18:11 +0100 laurent Fix STEP and ACTION structure initialization value in SFC
Fri, 03 Feb 2012 18:01:13 +0100 Edouard Tisserant Applied anonymous contribution RTC, '[Beremiz-devel] RTC function', 29/06/11
Fri, 03 Feb 2012 00:09:52 +0100 laurent Fix bug with functions like LEN and TRUNC that have overloaded return type for same inputs type
Thu, 02 Feb 2012 00:34:04 +0100 laurent Fix issues in standard library with MUX, MOD, NE and convertions to BOOL
Wed, 25 Jan 2012 01:16:30 +0100 laurent Adding Common_Ticktime to informations printed in VARIABLES.csv file
Fri, 30 Dec 2011 18:25:39 +0100 laurent Fixing bug with external variables refering to global variables defined in configurations
Fri, 23 Dec 2011 15:17:45 +0100 Edouard Tisserant removed useless extern func declaration in __INIT_EXTERNAL, producing warning during build of POUS.c
Fri, 09 Dec 2011 10:03:37 +0100 laurent Fixing bug in generated code for complex type external variable
Fri, 09 Dec 2011 10:01:48 +0100 laurent Fixing SUB standard function defined in standard library
Fri, 18 Nov 2011 17:28:37 +0100 laurent Fixing generated code for global variables. Adding support for defining global variables with complex type
Fri, 18 Nov 2011 17:21:16 +0100 laurent Fixing bug in all SUB function definitions in standard_functions.txt
Fri, 18 Nov 2011 11:23:17 +0100 laurent Fix bug when using enumerated type in equ_expression or notequ_expression
Wed, 16 Nov 2011 17:48:22 +0100 Edouard Tisserant silenced warning on X86_64 build by casying numerical operand of snprintf
Fri, 04 Nov 2011 18:40:58 +0100 laurent Fix bug in generate_c_inlinefcall. Was not handling textual SFC elements
Sat, 29 Oct 2011 19:30:47 +0100 Mario de Sousa Fix code generation of FOR loops. Now handles negative values of BY correctly.
Sat, 29 Oct 2011 18:56:25 +0100 Mario de Sousa Fix semantic verification of enumerated data types.
Fri, 28 Oct 2011 10:25:07 +0100 Mario de Sousa Adding a line to a comment. Does not change any code.
Thu, 20 Oct 2011 00:41:44 +0200 Edouard Tisserant fixed signedness warning on string slicing funcs in std lib
Wed, 12 Oct 2011 16:09:29 +0200 laurent Fix bug generate_c_inlinefcall in inline function name generated
Tue, 11 Oct 2011 12:30:49 +0200 laurent Fix bug in parser while trying to use IL operator like S1, R1, etc... as standard function block interface variable in structured_variable syntax and bug in code generator while generating code for assignment of function block interface variable using structured_variable syntax
Sun, 09 Oct 2011 20:18:55 +0200 laurent Fix bug in code generation of directly declared array variables that generate wrong code when using located variables in a program POU
Sun, 09 Oct 2011 20:16:41 +0200 laurent Fix bug in generate_c_inlinefcall.cc that prevent to use extendible standard functions
Sun, 09 Oct 2011 20:12:05 +0200 laurent Fix bug in definition of CONCAT standard function in iec_std_lib.h
Wed, 28 Sep 2011 14:55:26 +0200 Edouard Tisserant moved declaration __STR_CMP to prevent warning
Wed, 14 Sep 2011 22:58:39 +0200 laurent Adding support for compiling direct array specification inside variable declaration
Fri, 09 Sep 2011 12:03:15 +0200 laurent Making case statement code generated safer
Thu, 08 Sep 2011 20:25:00 +0200 laurent Merge with 01068ccb73b202b2872d4117ad01984bac956cbb
Thu, 01 Sep 2011 21:32:57 +0200 Manuele Conti Fix make on system without mercurial installed.
Wed, 31 Aug 2011 19:29:47 +0100 Mario de Sousa delete code no longer needed.
Wed, 31 Aug 2011 14:51:59 +0100 Mario de Sousa Implement get_type_decl(), as it seems Manuele will need it later...
Wed, 31 Aug 2011 14:10:53 +0100 Mario de Sousa Cleaning up: Getting some sense into the code handling structures.
Thu, 25 Aug 2011 17:22:44 +0200 Matteo Facchinetti Add automatic HG changeset id information in "-v" param
Thu, 25 Aug 2011 08:56:28 +0200 Matteo Facchinetti Add iec2iec building in the project Makefiles.
Fri, 26 Aug 2011 11:29:43 +0100 Mario de Sousa Update which files to ignore due to new autotools building environment.
Fri, 26 Aug 2011 10:48:09 +0100 Mario de Sousa Add checks for undefined structure elements used IEC 61131-3 source code being compiled.
Thu, 25 Aug 2011 17:55:48 +0100 Mario de Sousa Ignore underscores when extracting value of integer.
Tue, 23 Aug 2011 17:18:37 +0100 Mario de Sousa Deleting unused code.
Tue, 23 Aug 2011 16:28:03 +0100 Mario de Sousa Removing Makefiles made redundant by autotools.
Tue, 23 Aug 2011 14:17:46 +0100 Mario de Sousa fix bug report email address.
Tue, 23 Aug 2011 14:15:12 +0100 Mario de Sousa Add print version command line option.
Tue, 23 Aug 2011 11:54:34 +0100 Mario de Sousa Remove debugging printf() added by mistake in previous commit.
Tue, 23 Aug 2011 11:51:14 +0100 Mario de Sousa Print error messages when data type errors in ST expressions are detected.
Tue, 23 Aug 2011 09:10:03 +0100 Mario de Sousa Include (again!) the declaration of standard functions (incorrectly removed -again- in previous commit)
Mon, 22 Aug 2011 16:08:07 +0100 Mario de Sousa Add support for '**' (power) operator in ST expressions
Fri, 19 Aug 2011 21:53:11 +0100 Mario de Sousa Some more comments on how to build, and correcting name of README.build file.
Fri, 19 Aug 2011 20:57:49 +0100 Mario de Sousa Include the declaration of standard functions (incorrectly removed in previous commit)
Fri, 19 Aug 2011 20:48:05 +0100 Mario de Sousa Merging with Laurent's repository.
Fri, 19 Aug 2011 17:33:57 +0100 Mario de Sousa Fixing automake configuration to work properly with flex and bison.
Fri, 29 Jul 2011 16:08:40 +0100 Mario de Sousa Building compiler with autoconf. Thanks go to Matteo of sirius-es.it
Fri, 29 Jul 2011 16:06:38 +0100 Mario de Sousa Support for semantic verification of calls to standard functions.