mario@109: mario@109: mario@109: mario@109: TYPE mario@109: enum_type00 : (enum_v1, XXXX, enum_v2) := XXXX; mario@109: END_TYPE mario@109: mario@109: TYPE mario@109: enum_type01 : (XXXX, enum_v2) := XXXX; mario@109: END_TYPE mario@109: mario@109: TYPE mario@109: enum_type02 : (enum_v1, XXXX) := XXXX; mario@109: END_TYPE mario@109: mario@109: TYPE mario@109: enum_type03 : (XXXX) := XXXX; mario@109: END_TYPE mario@109: mario@109: mario@109: mario@109: mario@109: function foo : int mario@109: var_input mario@109: a_1, b_1: int; mario@109: end_var mario@109: var mario@109: c_1, d_1: enum_type00; mario@109: (* mario@109: enum_v1 : enum_type00; mario@109: *) mario@109: end_var mario@109: c_1 := enum_type00#XXXX; mario@109: c_1 := XXXX; mario@109: c_1 := enum_v1; mario@109: (* mario@109: enum_v1 := enum_v1; mario@109: *) mario@109: end_function mario@109: mario@109: