Mon, 17 Sep 2007 10:24:13 +0000 Florian Pose Send frame to debug-if and debug-ring only when transmitted