etherlab/EtherCATInfo.xsd
author Edouard Tisserant <edouard.tisserant@gmail.com>
Mon, 28 Feb 2022 21:53:14 +0100
branchwxPython4
changeset 3436 ccaabb9da623
parent 2046 afb63cee3a02
permissions -rw-r--r--
Tests: add an IDE test that relies on image matching.
2022
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
     1
<?xml version="1.0" encoding="UTF-8"?>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
     2
<xs:schema xmlns:xs="http://www.w3.org/2001/XMLSchema" elementFormDefault="qualified" attributeFormDefault="unqualified">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
     3
	<xs:include schemaLocation="EtherCATBase.xsd"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
     4
	<xs:element name="EtherCATInfo">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
     5
		<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
     6
			<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
     7
				<xs:element name="InfoReference" type="xs:string" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
     8
				<xs:element name="Vendor">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
     9
					<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    10
						<xs:complexContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    11
							<xs:extension base="VendorType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    12
								<xs:attribute name="FileVersion" type="xs:int"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    13
							</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    14
						</xs:complexContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    15
					</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    16
				</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    17
				<xs:element name="Descriptions">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    18
					<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    19
						<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    20
							<xs:element name="Groups">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    21
								<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    22
									<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    23
										<xs:element name="Group" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    24
											<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    25
												<xs:complexContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    26
													<xs:extension base="GroupType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    27
														<xs:attribute name="SortOrder" type="xs:int">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    28
															<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    29
																<xs:documentation>Helps to display multiple groups in the order intended by the vendor</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    30
															</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    31
														</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    32
														<xs:attribute name="ParentGroup" type="xs:string">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    33
															<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    34
																<xs:documentation>Type of an optional parent group - only for additional display order possibilities</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    35
															</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    36
														</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    37
													</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    38
												</xs:complexContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    39
											</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    40
										</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    41
									</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    42
								</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    43
							</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    44
							<xs:element name="Devices">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    45
								<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    46
									<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    47
										<xs:element name="Device" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    48
											<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    49
												<xs:complexContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    50
													<xs:extension base="DeviceType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    51
														<xs:attribute name="Invisible" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    52
														<xs:attribute name="Physics" type="PhysicsType" use="required"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    53
														<xs:attribute name="Crc32" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    54
													</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    55
												</xs:complexContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    56
											</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    57
										</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    58
									</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    59
								</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    60
							</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    61
							<xs:element name="Modules" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    62
								<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    63
									<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    64
										<xs:element name="Module" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    65
											<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    66
												<xs:complexContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    67
													<xs:extension base="ModuleType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    68
														<xs:attribute name="Crc32" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    69
													</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    70
												</xs:complexContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    71
											</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    72
										</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    73
									</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    74
								</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    75
							</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    76
						</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    77
					</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    78
				</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    79
			</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    80
			<xs:attribute name="Version" type="xs:string" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    81
				<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    82
					<xs:documentation>Schema version (1.4)</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    83
				</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    84
			</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    85
		</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    86
	</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    87
	<xs:complexType name="DeviceType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    88
		<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    89
			<xs:element name="Type">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    90
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    91
					<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    92
						<xs:extension base="xs:string">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    93
							<xs:attribute name="ProductCode" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    94
							<xs:attribute name="RevisionNo" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    95
							<xs:attribute name="SerialNo" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    96
							<xs:attribute name="CheckProductCode" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    97
								<xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    98
									<xs:restriction base="xs:NMTOKEN">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    99
										<xs:enumeration value="NONE"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   100
										<xs:enumeration value="EQ"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   101
									</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   102
								</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   103
							</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   104
							<xs:attribute name="CheckRevisionNo" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   105
								<xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   106
									<xs:restriction base="xs:NMTOKEN">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   107
										<xs:enumeration value="NONE"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   108
										<xs:enumeration value="EQ"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   109
										<xs:enumeration value="EQ_OR_G"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   110
										<xs:enumeration value="LW_EQ"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   111
										<xs:enumeration value="LW_EQ_HW_EQ_OR_G"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   112
										<xs:enumeration value="HW_EQ"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   113
										<xs:enumeration value="HW_EQ_LW_EQ_OR_G"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   114
									</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   115
								</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   116
							</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   117
							<xs:attribute name="CheckSerialNo" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   118
								<xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   119
									<xs:restriction base="xs:NMTOKEN">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   120
										<xs:enumeration value="NONE"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   121
										<xs:enumeration value="EQ"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   122
									</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   123
								</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   124
							</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   125
							<xs:attribute name="TcSmClass" type="xs:string" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   126
								<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   127
									<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   128
								</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   129
							</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   130
							<xs:attribute name="TcCfgModeSafeOp" type="xs:boolean" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   131
								<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   132
									<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   133
								</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   134
							</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   135
							<xs:attribute name="UseLrdLwr" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   136
							<xs:attribute name="ModulePdoGroup" type="xs:int" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   137
							<xs:attribute name="DownloadModuleList" type="xs:boolean" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   138
								<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   139
									<xs:documentation>for future use</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   140
								</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   141
							</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   142
						</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   143
					</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   144
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   145
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   146
			<xs:element name="HideType" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   147
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   148
					<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   149
						<xs:extension base="xs:string">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   150
							<xs:attribute name="ProductCode" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   151
							<xs:attribute name="RevisionNo" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   152
							<xs:attribute name="ProductRevision" type="xs:string" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   153
								<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   154
									<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   155
								</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   156
							</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   157
						</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   158
					</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   159
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   160
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   161
			<xs:element name="AlternativeType" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   162
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   163
					<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   164
						<xs:extension base="xs:string">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   165
							<xs:attribute name="ProductCode" type="HexDecValue" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   166
								<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   167
									<xs:documentation>for future use</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   168
								</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   169
							</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   170
							<xs:attribute name="RevisionNo" type="HexDecValue" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   171
								<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   172
									<xs:documentation>for future use</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   173
								</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   174
							</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   175
						</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   176
					</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   177
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   178
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   179
			<xs:element name="SubDevice" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   180
				<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   181
					<xs:documentation> </xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   182
				</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   183
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   184
					<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   185
						<xs:extension base="xs:string">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   186
							<xs:attribute name="ProductCode" type="HexDecValue" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   187
								<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   188
									<xs:documentation>for future use</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   189
								</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   190
							</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   191
							<xs:attribute name="RevisionNo" type="HexDecValue" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   192
								<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   193
									<xs:documentation>for future use</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   194
								</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   195
							</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   196
							<xs:attribute name="PreviousDevice" type="xs:int" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   197
							<xs:attribute name="PreviousPortNo" type="xs:int" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   198
						</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   199
					</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   200
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   201
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   202
			<xs:element name="Name" type="NameType" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   203
			<xs:element name="Comment" type="NameType" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   204
			<xs:element name="URL" type="NameType" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   205
			<xs:element name="Info" type="InfoType" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   206
			<xs:element name="GroupType" type="xs:string"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   207
			<xs:element name="Profile" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   208
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   209
					<xs:complexContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   210
						<xs:extension base="ProfileType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   211
							<xs:attribute name="Channel" type="xs:int" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   212
								<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   213
									<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   214
								</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   215
							</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   216
						</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   217
					</xs:complexContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   218
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   219
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   220
			<xs:element name="Fmmu" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   221
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   222
					<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   223
						<xs:extension base="xs:string">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   224
							<xs:attribute name="OpOnly" type="xs:boolean" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   225
								<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   226
									<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   227
								</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   228
							</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   229
							<xs:attribute name="Sm" type="xs:int" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   230
							<xs:attribute name="Su" type="xs:int" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   231
						</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   232
					</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   233
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   234
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   235
			<xs:element name="Sm" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   236
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   237
					<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   238
						<xs:extension base="xs:string">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   239
							<xs:attribute name="MinSize" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   240
							<xs:attribute name="MaxSize" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   241
							<xs:attribute name="DefaultSize" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   242
							<xs:attribute name="StartAddress" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   243
							<xs:attribute name="ControlByte" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   244
							<xs:attribute name="Enable" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   245
							<xs:attribute name="OneByteMode" type="xs:boolean" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   246
								<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   247
									<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   248
								</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   249
							</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   250
							<xs:attribute name="Virtual" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   251
							<xs:attribute name="Watchdog" type="xs:boolean" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   252
								<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   253
									<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   254
								</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   255
							</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   256
							<xs:attribute name="OpOnly" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   257
							<xs:attribute name="FixedAssignment" type="xs:boolean" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   258
								<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   259
									<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   260
								</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   261
							</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   262
						</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   263
					</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   264
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   265
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   266
			<xs:element name="Su" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   267
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   268
					<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   269
						<xs:extension base="xs:string">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   270
							<xs:attribute name="SeparateSu" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   271
							<xs:attribute name="SeparateFrame" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   272
							<xs:attribute name="DependOnInputState" type="xs:boolean" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   273
								<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   274
									<xs:documentation>for future use</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   275
								</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   276
							</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   277
							<xs:attribute name="FrameRepeatSupport" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   278
						</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   279
					</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   280
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   281
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   282
			<xs:element name="RxPdo" type="PdoType" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   283
			<xs:element name="TxPdo" type="PdoType" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   284
			<xs:element name="Mailbox" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   285
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   286
					<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   287
						<xs:element name="AoE" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   288
							<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   289
								<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   290
									<xs:element name="InitCmd" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   291
										<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   292
											<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   293
												<xs:element name="Transition" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   294
													<xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   295
														<xs:restriction base="xs:NMTOKEN">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   296
															<xs:enumeration value="PS"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   297
															<xs:enumeration value="SO"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   298
															<xs:enumeration value="SP"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   299
															<xs:enumeration value="OP"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   300
															<xs:enumeration value="OS"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   301
														</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   302
													</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   303
												</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   304
												<xs:element name="Data" type="xs:hexBinary"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   305
												<xs:element name="Comment" type="xs:string" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   306
											</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   307
										</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   308
									</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   309
								</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   310
								<xs:attribute name="AdsRouter" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   311
								<xs:attribute name="GenerateOwnNetId" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   312
								<xs:attribute name="InitializeOwnNetId" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   313
							</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   314
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   315
						<xs:element name="EoE" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   316
							<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   317
								<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   318
									<xs:element name="InitCmd" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   319
										<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   320
											<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   321
												<xs:element name="Transition" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   322
													<xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   323
														<xs:restriction base="xs:NMTOKEN">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   324
															<xs:enumeration value="PS"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   325
															<xs:enumeration value="SO"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   326
															<xs:enumeration value="SP"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   327
															<xs:enumeration value="OP"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   328
															<xs:enumeration value="OS"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   329
														</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   330
													</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   331
												</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   332
												<xs:element name="Type" type="xs:int"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   333
												<xs:element name="Data" type="xs:hexBinary"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   334
												<xs:element name="Comment" type="xs:string" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   335
											</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   336
										</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   337
									</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   338
								</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   339
								<xs:attribute name="IP" type="xs:boolean" use="optional" default="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   340
								<xs:attribute name="MAC" type="xs:boolean" use="optional" default="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   341
								<xs:attribute name="TimeStamp" type="xs:boolean" use="optional" default="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   342
							</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   343
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   344
						<xs:element name="CoE" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   345
							<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   346
								<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   347
									<xs:element name="Object" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   348
										<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   349
											<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   350
										</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   351
										<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   352
											<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   353
												<xs:element name="Index" type="xs:int">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   354
													<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   355
														<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   356
													</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   357
												</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   358
												<xs:element name="SubIndex" type="xs:int">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   359
													<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   360
														<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   361
													</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   362
												</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   363
												<xs:element name="Data" type="xs:hexBinary">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   364
													<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   365
														<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   366
													</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   367
												</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   368
												<xs:element name="Comment" type="xs:string" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   369
													<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   370
														<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   371
													</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   372
												</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   373
											</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   374
										</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   375
									</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   376
									<xs:element name="InitCmd" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   377
										<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   378
											<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   379
												<xs:element name="Transition" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   380
													<xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   381
														<xs:restriction base="xs:NMTOKEN">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   382
															<xs:enumeration value="PS"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   383
															<xs:enumeration value="SO"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   384
															<xs:enumeration value="SP"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   385
															<xs:enumeration value="OP"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   386
															<xs:enumeration value="OS"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   387
														</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   388
													</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   389
												</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   390
												<xs:element name="Index" type="HexDecValue"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   391
												<xs:element name="SubIndex" type="HexDecValue"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   392
												<xs:element name="Data">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   393
													<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   394
														<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   395
															<xs:extension base="xs:hexBinary">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   396
																<xs:attribute name="AdaptAutomatically" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   397
															</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   398
														</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   399
													</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   400
												</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   401
												<xs:element name="Comment" type="xs:string" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   402
											</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   403
											<xs:attribute name="Fixed" type="xs:boolean" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   404
												<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   405
													<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   406
												</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   407
											</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   408
											<xs:attribute name="CompleteAccess" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   409
											<xs:attribute name="OverwrittenByModule" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   410
										</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   411
									</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   412
								</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   413
								<xs:attribute name="SdoInfo" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   414
								<xs:attribute name="PdoAssign" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   415
								<xs:attribute name="PdoConfig" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   416
								<xs:attribute name="PdoUpload" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   417
								<xs:attribute name="CompleteAccess" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   418
								<xs:attribute name="EdsFile" type="xs:string" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   419
								<xs:attribute name="DS402Channels" type="xs:int" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   420
									<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   421
										<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   422
									</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   423
								</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   424
								<xs:attribute name="SegmentedSdo" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   425
								<xs:attribute name="DiagHistory" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   426
							</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   427
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   428
						<xs:element name="FoE" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   429
						<xs:element name="SoE" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   430
							<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   431
								<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   432
									<xs:element name="InitCmd" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   433
										<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   434
											<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   435
												<xs:element name="Transition" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   436
													<xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   437
														<xs:restriction base="xs:NMTOKEN">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   438
															<xs:enumeration value="PS"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   439
															<xs:enumeration value="SO"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   440
															<xs:enumeration value="SP"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   441
															<xs:enumeration value="OP"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   442
															<xs:enumeration value="OS"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   443
														</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   444
													</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   445
												</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   446
												<xs:element name="IDN" type="xs:int"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   447
												<xs:element name="Data" type="xs:hexBinary"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   448
												<xs:element name="Comment" type="xs:string" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   449
											</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   450
											<xs:attribute name="Chn" type="xs:int" use="optional" default="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   451
										</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   452
									</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   453
								</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   454
								<xs:attribute name="ChannelCount" type="xs:int" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   455
								<xs:attribute name="DriveFollowsBit3Support" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   456
							</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   457
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   458
						<xs:element name="VoE" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   459
							<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   460
								<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   461
									<xs:any minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   462
								</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   463
							</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   464
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   465
						<xs:element name="VendorSpecific" type="VendorSpecificType" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   466
					</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   467
					<xs:attribute name="DataLinkLayer" type="xs:boolean" use="optional" default="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   468
					<xs:attribute name="RealTimeMode" type="xs:boolean" use="optional" default="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   469
						<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   470
							<xs:documentation>for future use</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   471
						</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   472
					</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   473
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   474
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   475
			<xs:element name="Dc" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   476
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   477
					<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   478
						<xs:element name="OpMode" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   479
							<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   480
								<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   481
									<xs:element name="Name" type="xs:string"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   482
									<xs:element name="Desc" type="xs:string" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   483
									<xs:element name="AssignActivate" type="HexDecValue"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   484
									<xs:element name="CycleTimeSync0" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   485
										<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   486
											<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   487
												<xs:extension base="xs:int">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   488
													<xs:attribute name="Factor" type="xs:int" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   489
												</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   490
											</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   491
										</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   492
									</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   493
									<xs:element name="ShiftTimeSync0" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   494
										<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   495
											<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   496
												<xs:extension base="xs:int">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   497
													<xs:attribute name="Factor" type="xs:int" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   498
													<xs:attribute name="Input" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   499
													<xs:attribute name="OutputDelayTime" type="xs:int" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   500
													<xs:attribute name="InputDelayTime" type="xs:int" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   501
												</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   502
											</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   503
										</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   504
									</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   505
									<xs:element name="CycleTimeSync1" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   506
										<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   507
											<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   508
												<xs:extension base="xs:int">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   509
													<xs:attribute name="Factor" type="xs:int" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   510
												</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   511
											</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   512
										</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   513
									</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   514
									<xs:element name="ShiftTimeSync1" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   515
										<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   516
											<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   517
												<xs:extension base="xs:int">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   518
													<xs:attribute name="Factor" type="xs:int" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   519
														<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   520
															<xs:documentation>for future use</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   521
														</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   522
													</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   523
													<xs:attribute name="Input" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   524
													<xs:attribute name="OutputDelayTime" type="xs:int" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   525
													<xs:attribute name="InputDelayTime" type="xs:int" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   526
												</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   527
											</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   528
										</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   529
									</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   530
									<xs:element name="Sm" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   531
										<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   532
											<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   533
												<xs:element name="SyncType" type="xs:int" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   534
													<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   535
														<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   536
													</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   537
												</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   538
												<xs:element name="CycleTime" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   539
													<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   540
														<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   541
													</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   542
													<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   543
														<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   544
															<xs:extension base="xs:int">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   545
																<xs:attribute name="Factor" type="xs:int" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   546
																	<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   547
																		<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   548
																	</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   549
																</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   550
															</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   551
														</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   552
													</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   553
												</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   554
												<xs:element name="ShiftTime" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   555
													<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   556
														<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   557
													</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   558
													<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   559
														<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   560
															<xs:extension base="xs:int">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   561
																<xs:attribute name="MinAfterSync" type="xs:int" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   562
																	<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   563
																		<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   564
																	</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   565
																</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   566
																<xs:attribute name="MinBeforeFrame" type="xs:int" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   567
																	<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   568
																		<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   569
																	</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   570
																</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   571
															</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   572
														</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   573
													</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   574
												</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   575
												<xs:element name="Pdo" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   576
													<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   577
														<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   578
															<xs:extension base="HexDecValue">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   579
																<xs:attribute name="OSFac" type="xs:int"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   580
															</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   581
														</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   582
													</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   583
												</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   584
											</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   585
											<xs:attribute name="No" type="xs:int" use="required"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   586
										</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   587
									</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   588
									<xs:element name="VendorSpecific" type="VendorSpecificType" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   589
								</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   590
							</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   591
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   592
						<xs:element name="VendorSpecific" type="VendorSpecificType" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   593
					</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   594
					<xs:attribute name="UnknownFRMW" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   595
					<xs:attribute name="Unknown64Bit" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   596
					<xs:attribute name="ExternalRefClock" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   597
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   598
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   599
			<xs:element name="Slots" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   600
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   601
					<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   602
						<xs:element name="Slot" type="SlotType" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   603
						<xs:element name="ModulePdoGroup" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   604
							<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   605
								<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   606
									<xs:extension base="xs:string">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   607
										<xs:attribute name="Alignment" type="xs:int" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   608
										<xs:attribute name="RxPdo" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   609
										<xs:attribute name="TxPdo" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   610
									</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   611
								</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   612
							</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   613
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   614
					</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   615
					<xs:attribute name="MaxSlotCount" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   616
					<xs:attribute name="MaxSlotGroupCount" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   617
					<xs:attribute name="SlotPdoIncrement" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   618
					<xs:attribute name="SlotGroupPdoIncrement" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   619
					<xs:attribute name="SlotIndexIncrement" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   620
					<xs:attribute name="SlotGroupIndexIncrement" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   621
					<xs:attribute name="IdentifyModuleBy">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   622
						<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   623
							<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   624
						</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   625
						<xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   626
							<xs:restriction base="xs:NMTOKEN">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   627
								<xs:enumeration value="ModuleIdent"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   628
								<xs:enumeration value="IdentityObjekt"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   629
							</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   630
						</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   631
					</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   632
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   633
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   634
			<xs:element name="ESC" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   635
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   636
					<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   637
						<xs:element name="Reg0108" type="HexDecValue" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   638
						<xs:element name="Reg0400" type="HexDecValue" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   639
						<xs:element name="Reg0410" type="HexDecValue" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   640
						<xs:element name="Reg0420" type="HexDecValue" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   641
						<xs:element name="VendorSpecific" type="VendorSpecificType" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   642
					</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   643
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   644
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   645
			<xs:element name="Eeprom" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   646
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   647
					<xs:complexContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   648
						<xs:extension base="EepromType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   649
							<xs:attribute name="AssignToPdi" type="xs:boolean"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   650
						</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   651
					</xs:complexContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   652
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   653
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   654
			<xs:choice minOccurs="0">
2046
afb63cee3a02 Fix Ethercat xsd files
laurent
parents: 2022
diff changeset
   655
				<xs:element name="Image16x14" type="xs:string" minOccurs="0">
2022
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   656
					<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   657
						<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   658
					</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   659
				</xs:element>
2046
afb63cee3a02 Fix Ethercat xsd files
laurent
parents: 2022
diff changeset
   660
				<xs:element name="ImageFile16x14" type="xs:string" minOccurs="0"/>
afb63cee3a02 Fix Ethercat xsd files
laurent
parents: 2022
diff changeset
   661
				<xs:element name="ImageData16x14" type="xs:hexBinary" minOccurs="0"/>
2022
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   662
			</xs:choice>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   663
			<xs:element name="VendorSpecific" type="VendorSpecificType" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   664
		</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   665
	</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   666
	<xs:complexType name="GroupType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   667
		<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   668
			<xs:element name="Type" type="xs:string"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   669
			<xs:element name="Name" type="NameType" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   670
			<xs:element name="Comment" type="NameType" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   671
			<xs:choice>
2046
afb63cee3a02 Fix Ethercat xsd files
laurent
parents: 2022
diff changeset
   672
				<xs:element name="Image16x14" type="xs:string" minOccurs="0">
2022
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   673
					<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   674
						<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   675
					</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   676
				</xs:element>
2046
afb63cee3a02 Fix Ethercat xsd files
laurent
parents: 2022
diff changeset
   677
				<xs:element name="ImageFile16x14" type="xs:string" minOccurs="0"/>
afb63cee3a02 Fix Ethercat xsd files
laurent
parents: 2022
diff changeset
   678
				<xs:element name="ImageData16x14" type="xs:hexBinary" minOccurs="0"/>
2022
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   679
			</xs:choice>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   680
			<xs:element name="VendorSpecific" type="VendorSpecificType" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   681
		</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   682
	</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   683
	<xs:complexType name="EepromType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   684
		<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   685
			<xs:choice>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   686
				<xs:element name="Data" type="xs:hexBinary"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   687
				<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   688
					<xs:element name="ByteSize" type="xs:int"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   689
					<xs:element name="ConfigData" type="xs:hexBinary"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   690
					<xs:element name="BootStrap" type="xs:hexBinary" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   691
					<xs:element name="Category" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   692
						<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   693
							<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   694
								<xs:element name="CatNo">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   695
									<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   696
										<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   697
											<xs:extension base="xs:int"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   698
										</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   699
									</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   700
								</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   701
								<xs:choice>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   702
									<xs:element name="Data" type="xs:hexBinary"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   703
									<xs:element name="DataString" type="xs:string"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   704
									<xs:element name="DataUINT" type="xs:int"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   705
									<xs:element name="DataUDINT" type="xs:int"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   706
								</xs:choice>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   707
							</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   708
							<xs:attribute name="PreserveOnlineData" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   709
						</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   710
					</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   711
				</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   712
			</xs:choice>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   713
			<xs:element name="VendorSpecific" type="VendorSpecificType" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   714
		</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   715
	</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   716
	<xs:complexType name="InfoType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   717
		<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   718
			<xs:element name="Electrical" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   719
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   720
					<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   721
						<xs:element name="EBusCurrent" type="xs:int"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   722
					</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   723
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   724
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   725
			<xs:element name="StateMachine" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   726
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   727
					<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   728
						<xs:element name="Timeout" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   729
							<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   730
								<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   731
									<xs:element name="PreopTimeout" type="xs:int"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   732
									<xs:element name="SafeopOpTimeout" type="xs:int"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   733
									<xs:element name="BackToInitTimeout" type="xs:int"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   734
									<xs:element name="BackToSafeopTimeout" type="xs:int"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   735
								</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   736
							</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   737
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   738
						<xs:element name="Behavior" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   739
							<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   740
								<xs:attribute name="StartToInit" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   741
								<xs:attribute name="StartToPreop" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   742
								<xs:attribute name="StartToSafeop" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   743
								<xs:attribute name="StartToSafeopNoSync" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   744
							</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   745
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   746
					</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   747
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   748
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   749
			<xs:element name="Mailbox" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   750
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   751
					<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   752
						<xs:element name="Timeout">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   753
							<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   754
								<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   755
									<xs:element name="RequestTimeout" type="xs:int"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   756
									<xs:element name="ResponseTimeout" type="xs:int"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   757
								</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   758
							</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   759
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   760
					</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   761
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   762
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   763
			<xs:element name="EtherCATController" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   764
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   765
					<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   766
						<xs:element name="DpramSize" type="xs:int" default="4096" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   767
						<xs:element name="SmCount" type="xs:int" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   768
						<xs:element name="FmmuCount" type="xs:int" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   769
					</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   770
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   771
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   772
			<xs:element name="Port" minOccurs="0" maxOccurs="4">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   773
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   774
					<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   775
						<xs:element name="Type">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   776
							<xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   777
								<xs:restriction base="xs:NMTOKEN">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   778
									<xs:enumeration value="MII"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   779
									<xs:enumeration value="EBUS"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   780
									<xs:enumeration value="NONE"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   781
								</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   782
							</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   783
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   784
						<xs:element name="Connector" type="xs:string" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   785
						<xs:element name="Label" type="xs:string" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   786
						<xs:element name="RxDelay" type="xs:int" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   787
							<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   788
								<xs:documentation>in 100ps</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   789
							</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   790
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   791
						<xs:element name="TxDelay" type="xs:int" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   792
							<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   793
								<xs:documentation>in 100ps</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   794
							</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   795
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   796
						<xs:element name="PhysicalPhyAddr" type="xs:int" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   797
					</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   798
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   799
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   800
			<xs:element name="ExecutionUnit" minOccurs="0" maxOccurs="2">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   801
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   802
					<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   803
						<xs:element name="Type">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   804
							<xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   805
								<xs:restriction base="xs:NMTOKEN">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   806
									<xs:enumeration value="PRIMARY"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   807
									<xs:enumeration value="SECONDARY"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   808
									<xs:enumeration value="NONE"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   809
								</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   810
							</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   811
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   812
						<xs:element name="RxDelay" type="xs:int" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   813
							<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   814
								<xs:documentation>in 100ps</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   815
							</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   816
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   817
						<xs:element name="TxDelay" type="xs:int" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   818
							<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   819
								<xs:documentation>in 100ps</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   820
							</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   821
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   822
					</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   823
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   824
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   825
			<xs:element name="VendorSpecific" type="VendorSpecificType" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   826
			<xs:element name="StationAliasSupported" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   827
				<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   828
					<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   829
				</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   830
				<xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   831
					<xs:restriction base="xs:NMTOKEN">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   832
						<xs:enumeration value="NO_SUPPORT"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   833
						<xs:enumeration value="REGISTER_SUPPORT"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   834
						<xs:enumeration value="PROCESSDATA_SUPPORT"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   835
					</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   836
				</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   837
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   838
			<xs:element name="IdentificationAdo" type="HexDecValue" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   839
			<xs:element name="DeviceFeature" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   840
				<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   841
					<xs:documentation>for future use</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   842
				</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   843
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   844
					<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   845
						<xs:element name="Name" type="xs:string">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   846
							<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   847
								<xs:documentation>for future use</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   848
							</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   849
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   850
						<xs:element name="Value" type="xs:string" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   851
							<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   852
								<xs:documentation>for future use</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   853
							</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   854
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   855
						<xs:element name="Description" type="xs:string" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   856
							<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   857
								<xs:documentation>for future use</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   858
							</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   859
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   860
						<xs:element name="Register" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   861
							<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   862
								<xs:documentation>for future use</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   863
							</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   864
							<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   865
								<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   866
									<xs:element name="StartAddress" type="xs:int">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   867
										<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   868
											<xs:documentation>for future use;
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   869
in bytes</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   870
										</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   871
									</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   872
									<xs:element name="Length" type="xs:int">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   873
										<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   874
											<xs:documentation>for future use;
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   875
in bytes</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   876
										</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   877
									</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   878
									<xs:element name="BitMask" type="HexDecValue" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   879
										<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   880
											<xs:documentation>for future use</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   881
										</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   882
									</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   883
								</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   884
							</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   885
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   886
					</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   887
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   888
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   889
		</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   890
	</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   891
	<xs:simpleType name="PhysicsType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   892
		<xs:restriction base="xs:string">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   893
			<xs:pattern value="[Y,K, ]{0,4}"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   894
		</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   895
	</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   896
	<xs:complexType name="SlotType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   897
		<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   898
			<xs:element name="Name" type="NameType" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   899
			<xs:choice>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   900
				<xs:element name="ModuleIdent" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   901
					<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   902
						<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   903
							<xs:extension base="HexDecValue">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   904
								<xs:attribute name="Default" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   905
							</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   906
						</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   907
					</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   908
				</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   909
				<xs:element name="ModuleClass" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   910
					<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   911
						<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   912
							<xs:element name="Class" type="xs:string"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   913
							<xs:element name="VendorId" type="HexDecValue" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   914
							<xs:element name="Name" type="NameType" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   915
							<xs:choice minOccurs="0">
2046
afb63cee3a02 Fix Ethercat xsd files
laurent
parents: 2022
diff changeset
   916
								<xs:element name="Image16x14" type="xs:string" minOccurs="0">
2022
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   917
									<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   918
										<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   919
									</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   920
								</xs:element>
2046
afb63cee3a02 Fix Ethercat xsd files
laurent
parents: 2022
diff changeset
   921
								<xs:element name="ImageFile16x14" type="xs:string" minOccurs="0"/>
afb63cee3a02 Fix Ethercat xsd files
laurent
parents: 2022
diff changeset
   922
								<xs:element name="ImageData16x14" type="xs:hexBinary" minOccurs="0"/>
2022
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   923
							</xs:choice>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   924
						</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   925
					</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   926
				</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   927
			</xs:choice>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   928
			<xs:choice minOccurs="0">
2046
afb63cee3a02 Fix Ethercat xsd files
laurent
parents: 2022
diff changeset
   929
				<xs:element name="Image16x14" type="xs:string" minOccurs="0">
2022
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   930
					<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   931
						<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   932
					</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   933
				</xs:element>
2046
afb63cee3a02 Fix Ethercat xsd files
laurent
parents: 2022
diff changeset
   934
				<xs:element name="ImageFile16x14" type="xs:string" minOccurs="0"/>
afb63cee3a02 Fix Ethercat xsd files
laurent
parents: 2022
diff changeset
   935
				<xs:element name="ImageData16x14" type="xs:hexBinary" minOccurs="0"/>
2022
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   936
			</xs:choice>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   937
		</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   938
		<xs:attribute name="SlotGroup" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   939
		<xs:attribute name="MinInstances" type="HexDecValue" use="required"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   940
		<xs:attribute name="MaxInstances" type="HexDecValue" use="required"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   941
		<xs:attribute name="SlotPdoIncrement" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   942
		<xs:attribute name="SlotGroupPdoIncrement" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   943
		<xs:attribute name="SlotIndexIncrement" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   944
		<xs:attribute name="SlotGroupIndexIncrement" type="HexDecValue" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   945
		<xs:attribute name="TreeView" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   946
			<xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   947
				<xs:restriction base="xs:NMTOKEN">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   948
					<xs:enumeration value="SLOTGROUP"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   949
					<xs:enumeration value="SLOT"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   950
					<xs:enumeration value="PDO"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   951
				</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   952
			</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   953
		</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   954
	</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   955
</xs:schema>