etherlab/EtherCATBase.xsd
author Mario de Sousa <msousa@fe.up.pt>
Sun, 07 Jun 2020 23:38:20 +0100
changeset 2666 5f48d5e60a81
parent 2046 afb63cee3a02
permissions -rw-r--r--
Modbus plugin, web interface: strip leading and trailing spaces from string parameters
2022
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
     1
<?xml version="1.0" encoding="UTF-8"?>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
     2
<!-- edited with XMLSpy v2006 sp2 U (http://www.altova.com) by Beckmann (BECKHOFF Automation GmbH) -->
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
     3
<xs:schema xmlns:xs="http://www.w3.org/2001/XMLSchema" elementFormDefault="qualified" attributeFormDefault="unqualified">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
     4
	<xs:complexType name="AccessType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
     5
		<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
     6
			<xs:extension base="xs:NMTOKEN">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
     7
				<xs:attribute name="ReadRestrictions">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
     8
					<xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
     9
						<xs:restriction base="xs:NMTOKEN">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    10
							<xs:enumeration value="PreOp"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    11
							<xs:enumeration value="PreOP_SafeOP"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    12
							<xs:enumeration value="PreOP_OP"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    13
							<xs:enumeration value="SafeOP"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    14
							<xs:enumeration value="SafeOP_OP"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    15
							<xs:enumeration value="OP"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    16
						</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    17
					</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    18
				</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    19
				<xs:attribute name="WriteRestrictions">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    20
					<xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    21
						<xs:restriction base="xs:NMTOKEN">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    22
							<xs:enumeration value="PreOp"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    23
							<xs:enumeration value="PreOP_SafeOP"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    24
							<xs:enumeration value="PreOP_OP"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    25
							<xs:enumeration value="SafeOP"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    26
							<xs:enumeration value="SafeOP_OP"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    27
							<xs:enumeration value="OP"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    28
						</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    29
					</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    30
				</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    31
			</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    32
		</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    33
	</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    34
	<xs:complexType name="ArrayInfoType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    35
		<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    36
			<xs:element name="LBound" type="xs:integer"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    37
			<xs:element name="Elements" type="xs:integer"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    38
		</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    39
	</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    40
	<xs:complexType name="DataTypeType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    41
		<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    42
			<xs:element name="Index" type="HexDecValue" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    43
			<xs:element name="Name" type="xs:string"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    44
			<xs:element name="BaseType" type="xs:string" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    45
			<xs:element name="Comment" type="NameType" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    46
			<xs:element name="BitSize" type="xs:int"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    47
			<xs:choice minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    48
				<xs:element name="ArrayInfo" type="ArrayInfoType" minOccurs="0" maxOccurs="3"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    49
				<xs:element name="SubItem" type="SubItemType" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    50
				<xs:element name="EnumInfo" type="EnumInfoType" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    51
			</xs:choice>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    52
			<xs:element name="Properties" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    53
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    54
					<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    55
						<xs:element name="Property" type="PropertyType" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    56
					</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    57
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    58
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    59
			<xs:element name="Xml" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    60
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    61
					<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    62
						<xs:any processContents="lax" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    63
					</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    64
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    65
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    66
		</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    67
	</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    68
	<xs:complexType name="PropertyType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    69
		<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    70
			<xs:element name="Name" type="xs:string"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    71
			<xs:element name="Value" type="xs:string" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    72
			<xs:element name="Desc" type="NameType" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    73
		</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    74
	</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    75
	<xs:complexType name="SubItemType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    76
		<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    77
			<xs:element name="SubIdx" type="HexDecValue" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    78
			<xs:element name="Name" type="xs:string"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    79
			<xs:element name="DisplayName" type="NameType" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    80
				<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    81
					<xs:documentation>for future use</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    82
				</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    83
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    84
			<xs:element name="Type" type="xs:string"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    85
			<xs:element name="Comment" type="NameType" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    86
			<xs:element name="BitSize" type="xs:int"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    87
			<xs:element name="BitOffs" type="xs:int"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    88
			<xs:choice minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    89
				<xs:element name="DefaultString" type="xs:string" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    90
					<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    91
						<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    92
					</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    93
				</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    94
				<xs:element name="DefaultData" type="xs:hexBinary" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    95
					<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    96
						<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    97
					</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    98
				</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
    99
				<xs:sequence minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   100
					<xs:element name="MinValue" type="HexDecValue" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   101
						<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   102
							<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   103
						</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   104
					</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   105
					<xs:element name="MaxValue" type="HexDecValue" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   106
						<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   107
							<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   108
						</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   109
					</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   110
					<xs:element name="DefaultValue" type="HexDecValue" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   111
						<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   112
							<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   113
						</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   114
					</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   115
				</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   116
			</xs:choice>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   117
			<xs:element name="Flags" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   118
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   119
					<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   120
						<xs:element name="Access" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   121
							<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   122
								<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   123
									<xs:restriction base="AccessType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   124
										<xs:pattern value="ro"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   125
										<xs:pattern value="rw"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   126
										<xs:pattern value="wo"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   127
									</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   128
								</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   129
							</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   130
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   131
						<xs:element name="Category" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   132
							<xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   133
								<xs:restriction base="xs:NMTOKEN">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   134
									<xs:enumeration value="m"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   135
									<xs:enumeration value="o"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   136
									<xs:enumeration value="c"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   137
								</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   138
							</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   139
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   140
						<xs:element name="PdoMapping" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   141
							<xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   142
								<xs:restriction base="xs:NMTOKEN">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   143
									<xs:enumeration value="T"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   144
									<xs:enumeration value="R"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   145
									<xs:enumeration value="TR"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   146
									<xs:enumeration value="RT"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   147
									<xs:enumeration value="t"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   148
									<xs:enumeration value="r"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   149
									<xs:enumeration value="tr"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   150
									<xs:enumeration value="rt"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   151
								</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   152
							</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   153
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   154
						<xs:element name="SafetyMapping" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   155
							<xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   156
								<xs:restriction base="xs:NMTOKEN">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   157
									<xs:enumeration value="si"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   158
									<xs:enumeration value="SI"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   159
									<xs:enumeration value="so"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   160
									<xs:enumeration value="SO"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   161
									<xs:enumeration value="sio"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   162
									<xs:enumeration value="SIO"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   163
									<xs:enumeration value="sp"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   164
									<xs:enumeration value="SP"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   165
								</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   166
							</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   167
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   168
						<xs:element name="Attribute" type="HexDecValue" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   169
						<xs:element name="Backup" type="xs:int" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   170
						<xs:element name="Setting" type="xs:int" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   171
					</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   172
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   173
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   174
			<xs:element name="Property" type="PropertyType" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   175
			<xs:element name="Xml" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   176
				<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   177
					<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   178
				</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   179
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   180
					<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   181
						<xs:any processContents="lax" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   182
					</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   183
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   184
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   185
		</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   186
	</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   187
	<xs:complexType name="EnumInfoType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   188
		<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   189
			<xs:element name="Text" type="NameType" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   190
			<xs:element name="Enum" type="xs:int"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   191
			<xs:element name="Comment" type="NameType" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   192
		</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   193
	</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   194
	<xs:complexType name="NameType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   195
		<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   196
			<xs:extension base="xs:string">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   197
				<xs:attribute name="LcId" type="xs:integer" use="optional" default="1033"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   198
			</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   199
		</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   200
	</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   201
	<xs:complexType name="DictionaryType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   202
		<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   203
			<xs:element name="DataTypes" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   204
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   205
					<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   206
						<xs:element name="DataType" type="DataTypeType" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   207
							<xs:key name="SubItemKey">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   208
								<xs:selector xpath="./SubItem"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   209
								<xs:field xpath="Name"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   210
							</xs:key>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   211
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   212
					</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   213
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   214
				<xs:key name="DataTypeKey">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   215
					<xs:selector xpath="./DataType"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   216
					<xs:field xpath="Name"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   217
				</xs:key>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   218
				<xs:keyref name="BaseTypeRef" refer="DataTypeKey">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   219
					<xs:selector xpath="./DataType"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   220
					<xs:field xpath="BaseType"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   221
				</xs:keyref>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   222
				<xs:keyref name="SubItemTypeRef" refer="DataTypeKey">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   223
					<xs:selector xpath="./DataType/SubItem"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   224
					<xs:field xpath="Type"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   225
				</xs:keyref>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   226
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   227
			<xs:element name="Objects">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   228
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   229
					<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   230
						<xs:element name="Object" type="ObjectType" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   231
					</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   232
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   233
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   234
		</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   235
	</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   236
	<xs:simpleType name="HexDecValue">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   237
		<xs:restriction base="xs:string">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   238
			<xs:pattern value="[+-]?[0-9]{1,}"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   239
			<xs:pattern value="#x[0-9|a-f|A-F]{1,}"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   240
		</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   241
	</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   242
	<xs:complexType name="ObjectType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   243
		<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   244
			<xs:element name="Index">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   245
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   246
					<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   247
						<xs:extension base="HexDecValue">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   248
							<xs:attribute name="DependOnSlot" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   249
							<xs:attribute name="DependOnSlotGroup" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   250
							<xs:attribute name="OverwrittenByModule" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   251
						</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   252
					</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   253
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   254
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   255
			<xs:element name="Name" type="NameType" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   256
			<xs:element name="Comment" type="NameType" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   257
			<xs:element name="Type" type="xs:string"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   258
			<xs:element name="BitSize" type="xs:int"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   259
			<xs:element name="Info" type="ObjectInfoType" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   260
			<xs:element name="Flags" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   261
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   262
					<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   263
						<xs:element name="Access" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   264
							<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   265
								<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   266
									<xs:restriction base="AccessType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   267
										<xs:pattern value="ro"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   268
										<xs:pattern value="rw"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   269
										<xs:pattern value="wo"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   270
									</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   271
								</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   272
							</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   273
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   274
						<xs:element name="Category" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   275
							<xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   276
								<xs:restriction base="xs:NMTOKEN">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   277
									<xs:enumeration value="m"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   278
									<xs:enumeration value="o"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   279
									<xs:enumeration value="c"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   280
								</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   281
							</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   282
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   283
						<xs:element name="PdoMapping" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   284
							<xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   285
								<xs:restriction base="xs:NMTOKEN">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   286
									<xs:enumeration value="T"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   287
									<xs:enumeration value="R"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   288
									<xs:enumeration value="TR"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   289
									<xs:enumeration value="RT"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   290
									<xs:enumeration value="t"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   291
									<xs:enumeration value="r"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   292
									<xs:enumeration value="tr"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   293
									<xs:enumeration value="rt"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   294
								</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   295
							</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   296
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   297
						<xs:element name="SafetyMapping" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   298
							<xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   299
								<xs:restriction base="xs:NMTOKEN">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   300
									<xs:enumeration value="si"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   301
									<xs:enumeration value="SI"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   302
									<xs:enumeration value="so"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   303
									<xs:enumeration value="SO"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   304
									<xs:enumeration value="sio"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   305
									<xs:enumeration value="SIO"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   306
									<xs:enumeration value="sp"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   307
									<xs:enumeration value="SP"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   308
								</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   309
							</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   310
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   311
						<xs:element name="Attribute" type="HexDecValue" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   312
						<xs:element name="Transition" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   313
							<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   314
								<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   315
							</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   316
							<xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   317
								<xs:restriction base="xs:NMTOKEN">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   318
									<xs:enumeration value="IP"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   319
									<xs:enumeration value="PS"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   320
									<xs:enumeration value="SO"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   321
								</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   322
							</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   323
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   324
						<xs:element name="SdoAccess" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   325
							<xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   326
								<xs:restriction base="xs:NMTOKEN">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   327
									<xs:enumeration value="CompleteAccess"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   328
									<xs:enumeration value="SubIndexAccess"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   329
								</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   330
							</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   331
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   332
					</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   333
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   334
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   335
			<xs:element name="Properties" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   336
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   337
					<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   338
						<xs:element name="Property" type="PropertyType" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   339
					</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   340
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   341
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   342
			<xs:element name="Xml" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   343
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   344
					<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   345
						<xs:any processContents="lax" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   346
					</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   347
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   348
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   349
		</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   350
	</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   351
	<xs:complexType name="ObjectInfoType">
2046
afb63cee3a02 Fix Ethercat xsd files
laurent
parents: 2022
diff changeset
   352
		<xs:sequence minOccurs="0">
afb63cee3a02 Fix Ethercat xsd files
laurent
parents: 2022
diff changeset
   353
			<xs:choice>
afb63cee3a02 Fix Ethercat xsd files
laurent
parents: 2022
diff changeset
   354
				<xs:element name="DefaultString" type="xs:string" minOccurs="0"/>
afb63cee3a02 Fix Ethercat xsd files
laurent
parents: 2022
diff changeset
   355
				<xs:sequence minOccurs="0">
2022
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   356
					<xs:element name="MinData" type="xs:hexBinary" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   357
					<xs:element name="MaxData" type="xs:hexBinary" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   358
					<xs:element name="DefaultData" type="xs:hexBinary" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   359
				</xs:sequence>
2046
afb63cee3a02 Fix Ethercat xsd files
laurent
parents: 2022
diff changeset
   360
				<xs:sequence minOccurs="0">
2022
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   361
					<xs:element name="MinValue" type="HexDecValue" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   362
					<xs:element name="MaxValue" type="HexDecValue" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   363
					<xs:element name="DefaultValue" type="HexDecValue" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   364
				</xs:sequence>
2046
afb63cee3a02 Fix Ethercat xsd files
laurent
parents: 2022
diff changeset
   365
				<xs:element name="SubItem" minOccurs="0" maxOccurs="unbounded">
2022
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   366
					<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   367
						<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   368
							<xs:element name="Name" type="xs:string"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   369
							<xs:element name="Info" type="ObjectInfoType"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   370
						</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   371
					</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   372
				</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   373
			</xs:choice>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   374
			<xs:element name="Unit" type="HexDecValue" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   375
		</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   376
	</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   377
	<xs:complexType name="EntryType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   378
		<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   379
			<xs:element name="Index">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   380
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   381
					<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   382
						<xs:extension base="HexDecValue">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   383
							<xs:attribute name="DependOnSlot" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   384
							<xs:attribute name="DependOnSlotGroup" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   385
						</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   386
					</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   387
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   388
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   389
			<xs:element name="SubIndex" type="HexDecValue" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   390
			<xs:element name="BitLen" type="xs:int"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   391
			<xs:element name="Name" type="NameType" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   392
			<xs:element name="Comment" type="xs:string" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   393
			<xs:element name="DataType" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   394
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   395
					<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   396
						<xs:extension base="xs:string">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   397
							<xs:attribute name="DScale" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   398
								<xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   399
									<xs:restriction base="xs:string">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   400
										<xs:enumeration value="+/-10"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   401
										<xs:enumeration value="0-10"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   402
										<xs:enumeration value="0-20"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   403
										<xs:enumeration value="4-20"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   404
										<xs:enumeration value="0.1°"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   405
										<xs:enumeration value="0-10(16)"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   406
										<xs:enumeration value="0-20(16)"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   407
										<xs:enumeration value="4-20(16)"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   408
										<xs:enumeration value="0.01°"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   409
										<xs:enumeration value="0-5"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   410
										<xs:enumeration value="0-30"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   411
										<xs:enumeration value="0-50"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   412
										<xs:enumeration value="+/-5"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   413
										<xs:enumeration value="+/-2.5"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   414
										<xs:enumeration value="+/-100"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   415
										<xs:enumeration value="0-5(16)"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   416
										<xs:enumeration value="0-30(16)"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   417
										<xs:enumeration value="0-50(16)"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   418
										<xs:enumeration value="+/-75mV"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   419
									</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   420
								</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   421
							</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   422
							<xs:attribute name="SwapData" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   423
								<xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   424
									<xs:restriction base="xs:NMTOKEN">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   425
										<xs:enumeration value="Swap_HB_LB"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   426
										<xs:enumeration value="Swap_HW_LW"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   427
										<xs:enumeration value="Swap_HB_LB_HW_LW"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   428
									</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   429
								</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   430
							</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   431
						</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   432
					</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   433
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   434
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   435
		</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   436
	</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   437
	<xs:complexType name="PdoType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   438
		<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   439
			<xs:element name="Index">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   440
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   441
					<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   442
						<xs:extension base="HexDecValue">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   443
							<xs:attribute name="DependOnSlot" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   444
							<xs:attribute name="DependOnSlotGroup" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   445
						</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   446
					</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   447
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   448
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   449
			<xs:element name="Name" type="NameType" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   450
			<xs:element name="Exclude" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   451
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   452
					<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   453
						<xs:extension base="HexDecValue">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   454
							<xs:attribute name="DependOnSlot" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   455
							<xs:attribute name="DependOnSlotGroup" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   456
						</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   457
					</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   458
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   459
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   460
			<xs:element name="Entry" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   461
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   462
					<xs:complexContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   463
						<xs:extension base="EntryType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   464
							<xs:attribute name="Fixed" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   465
						</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   466
					</xs:complexContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   467
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   468
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   469
			<xs:element name="ExcludedSm" type="xs:int" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   470
		</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   471
		<xs:attribute name="Fixed" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   472
		<xs:attribute name="Mandatory" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   473
		<xs:attribute name="Virtual" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   474
		<xs:attribute name="Sm" type="xs:int" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   475
		<xs:attribute name="Su" type="xs:int" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   476
		<xs:attribute name="PdoOrder" type="xs:int" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   477
			<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   478
				<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   479
			</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   480
		</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   481
		<xs:attribute name="OSFac" type="xs:int" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   482
		<xs:attribute name="OSMin" type="xs:int" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   483
		<xs:attribute name="OSMax" type="xs:int" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   484
		<xs:attribute name="OSIndexInc" type="xs:int" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   485
		<xs:attribute name="OverwrittenByModule" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   486
	</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   487
	<xs:complexType name="VendorSpecificType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   488
		<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   489
			<xs:any namespace="##any" processContents="skip" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   490
		</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   491
	</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   492
	<xs:complexType name="ProfileType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   493
		<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   494
			<xs:element name="ProfileNo" type="xs:int" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   495
			<xs:element name="AddInfo" type="xs:int" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   496
			<xs:choice minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   497
				<xs:element name="ChannelCount" type="xs:int"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   498
				<xs:element name="ChannelInfo" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   499
					<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   500
						<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   501
							<xs:element name="ProfileNo" type="xs:int"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   502
							<xs:element name="AddInfo" type="xs:int" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   503
							<xs:element name="DisplayName" type="NameType" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   504
						</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   505
						<xs:attribute name="OverwrittenByModule" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   506
					</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   507
				</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   508
			</xs:choice>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   509
			<xs:choice minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   510
				<xs:element name="DictionaryFile" type="xs:string" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   511
				<xs:element name="Dictionary" type="DictionaryType" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   512
					<xs:keyref name="ObjectTypesRef" refer="DataTypesKey">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   513
						<xs:selector xpath="./Objects/Object"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   514
						<xs:field xpath="Type"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   515
					</xs:keyref>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   516
					<xs:key name="DataTypesKey">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   517
						<xs:selector xpath="./DataTypes/DataType"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   518
						<xs:field xpath="Name"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   519
					</xs:key>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   520
				</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   521
			</xs:choice>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   522
			<xs:element name="DiagMessages" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   523
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   524
					<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   525
						<xs:element name="DiagMessage" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   526
							<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   527
								<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   528
									<xs:element name="TextId" type="HexDecValue"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   529
									<xs:element name="MessageText" type="NameType" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   530
								</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   531
							</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   532
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   533
					</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   534
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   535
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   536
			<xs:element name="VendorSpecific" type="VendorSpecificType" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   537
		</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   538
	</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   539
	<xs:complexType name="VendorType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   540
		<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   541
			<xs:element name="Id" type="HexDecValue"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   542
			<xs:element name="Name" type="NameType" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   543
			<xs:element name="Comment" type="NameType" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   544
			<xs:element name="URL" type="NameType" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   545
			<xs:element name="DescriptionURL" type="xs:string" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   546
			<xs:choice>
2046
afb63cee3a02 Fix Ethercat xsd files
laurent
parents: 2022
diff changeset
   547
				<xs:element name="Image16x14" type="xs:string" minOccurs="0">
2022
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   548
					<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   549
						<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   550
					</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   551
				</xs:element>
2046
afb63cee3a02 Fix Ethercat xsd files
laurent
parents: 2022
diff changeset
   552
				<xs:element name="ImageFile16x14" type="xs:string" minOccurs="0"/>
afb63cee3a02 Fix Ethercat xsd files
laurent
parents: 2022
diff changeset
   553
				<xs:element name="ImageData16x14" type="xs:hexBinary" minOccurs="0"/>
2022
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   554
			</xs:choice>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   555
			<xs:element name="VendorSpecific" type="VendorSpecificType" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   556
		</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   557
		<xs:attribute name="UniqueName" type="xs:string" use="optional">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   558
			<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   559
				<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   560
			</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   561
		</xs:attribute>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   562
	</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   563
	<xs:complexType name="ModuleType">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   564
		<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   565
			<xs:element name="Type">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   566
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   567
					<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   568
						<xs:extension base="xs:string">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   569
							<xs:attribute name="ModuleIdent" type="HexDecValue" use="required"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   570
							<xs:attribute name="ModuleClass" type="xs:string" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   571
							<xs:attribute name="ModulePdoGroup" type="xs:int" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   572
						</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   573
					</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   574
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   575
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   576
			<xs:element name="Name" type="NameType" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   577
			<xs:element name="RxPdo" type="PdoType" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   578
			<xs:element name="TxPdo" type="PdoType" minOccurs="0" maxOccurs="unbounded"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   579
			<xs:element name="SafetyParaMapping" type="PdoType" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   580
			<xs:element name="Mailbox" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   581
				<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   582
					<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   583
						<xs:element name="CoE" minOccurs="0">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   584
							<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   585
								<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   586
									<xs:element name="InitCmd" minOccurs="0" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   587
										<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   588
											<xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   589
												<xs:element name="Transition" maxOccurs="unbounded">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   590
													<xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   591
														<xs:restriction base="xs:NMTOKEN">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   592
															<xs:enumeration value="PS"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   593
															<xs:enumeration value="SO"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   594
															<xs:enumeration value="SP"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   595
															<xs:enumeration value="OP"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   596
															<xs:enumeration value="OS"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   597
														</xs:restriction>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   598
													</xs:simpleType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   599
												</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   600
												<xs:element name="Index">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   601
													<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   602
														<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   603
															<xs:extension base="HexDecValue">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   604
																<xs:attribute name="DependOnSlot" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   605
																<xs:attribute name="DependOnSlotGroup" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   606
															</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   607
														</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   608
													</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   609
												</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   610
												<xs:element name="SubIndex" type="HexDecValue"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   611
												<xs:element name="Data">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   612
													<xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   613
														<xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   614
															<xs:extension base="xs:hexBinary">
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   615
																<xs:attribute name="AdaptAutomatically" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   616
															</xs:extension>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   617
														</xs:simpleContent>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   618
													</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   619
												</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   620
												<xs:element name="Comment" type="xs:string" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   621
											</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   622
											<xs:attribute name="Fixed" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   623
											<xs:attribute name="CompleteAccess" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   624
										</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   625
									</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   626
								</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   627
								<xs:attribute name="SdoInfo" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   628
								<xs:attribute name="PdoAssign" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   629
								<xs:attribute name="PdoConfig" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   630
								<xs:attribute name="PdoUpload" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   631
								<xs:attribute name="CompleteAccess" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   632
								<xs:attribute name="EdsFile" type="xs:string" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   633
								<xs:attribute name="SegmentedSdo" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   634
								<xs:attribute name="ModuleOD" type="xs:boolean" use="optional"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   635
							</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   636
						</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   637
					</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   638
				</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   639
			</xs:element>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   640
			<xs:element name="Profile" type="ProfileType" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   641
			<xs:element name="DcOpModeName" type="xs:string" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   642
			<xs:choice minOccurs="0">
2046
afb63cee3a02 Fix Ethercat xsd files
laurent
parents: 2022
diff changeset
   643
				<xs:element name="Image16x14" type="xs:string" minOccurs="0">
2022
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   644
					<xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   645
						<xs:documentation>obsolete</xs:documentation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   646
					</xs:annotation>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   647
				</xs:element>
2046
afb63cee3a02 Fix Ethercat xsd files
laurent
parents: 2022
diff changeset
   648
				<xs:element name="ImageFile16x14" type="xs:string" minOccurs="0"/>
afb63cee3a02 Fix Ethercat xsd files
laurent
parents: 2022
diff changeset
   649
				<xs:element name="ImageData16x14" type="xs:hexBinary" minOccurs="0"/>
2022
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   650
			</xs:choice>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   651
			<xs:element name="VendorSpecific" type="VendorSpecificType" minOccurs="0"/>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   652
		</xs:sequence>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   653
	</xs:complexType>
c2295d311402 First working implementation of Beremiz plugin for etherlab
laurent
parents:
diff changeset
   654
</xs:schema>